Skip to main content

Full Schedule

Full Schedule

  • Monday, July 10, 2023
  • 9:00 AM – 10:00 AM PDT
    International SOI Wafer Task Force Meeting [Silicon Wafer]
  • 9:00 AM – 10:00 AM PDT
    Materials of Construction of Gas Delivery Systems Task Force Meeting [Gases]
  • 9:00 AM – 10:00 AM PDT
    North America Information & Control Leadership Meeting (By Invitation Only) [I&C]
  • 10:00 AM – 11:00 AM PDT
    Filters & Purifiers Task Force Meeting [Gases]
  • 10:00 AM – 11:00 AM PDT
    International Polished Wafer Task Force Meeting [Silicon Wafer]
  • 10:00 AM – 12:00 PM PDT
    GEM300 Task Force Meeting [I&C]
  • 11:00 AM – 12:00 PM PDT
    International Test Methods Task Force Meeting [Silicon Wafer]
  • 11:00 AM – 12:00 PM PDT
    Mass Flow Controller Task Force Meeting [Gases]
  • 12:00 PM – 1:00 PM PDT
    Silicon Wafer Global Coordinating Subcommittee Meeting (By Invitation Only)
  • 1:00 PM – 2:00 PM PDT
    Gases Specification Task Force Meeting [Gases]
  • 1:00 PM – 2:00 PM PDT
    International Automated Advanced Surface Inspection Task Force Meeting [Silicon Wafer]
  • 1:00 PM – 3:00 PM PDT
    Advanced Backend Factory Integration (ABFI) Task Force Meeting [I&C]
  • 1:00 PM – 4:00 PM PDT
    International Compliance and Regulatory Committee Meeting (ICRC) [SEMI EHSS Division]
  • 1:00 PM – 5:00 PM PDT
    SEMI Market Symposium

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 1:30 PM – 2:00 PM PDT
    High Purity Liquid Assemblies & System Task Force Meeting [Liquid Chemicals]
  • 2:00 PM – 3:00 PM PDT
    Chemical Analytical Methods (CAM) Task Force Meeting [Liquid Chemicals]
  • 2:00 PM – 3:00 PM PDT
    Heater Jacket Task Force Meeting [Gases]
  • 2:00 PM – 5:00 PM PDT
    International Advanced Wafer Geometry Task Force Meeting [Silicon Wafer]
  • 3:00 PM – 3:30 PM PDT
    Chemical Mechanical Planarization Consumables (CMP-C) Task Force Meeting [Liquid Chemicals]
  • 3:00 PM – 5:00 PM PDT
    Equipment Data Publication (EDP) Task Force Meeting [I&C]
  • 4:00 PM – 5:00 PM PDT
    S23 Revision Global Task Force Meeting [EHS]
  • 5:00 PM – 6:00 PM PDT
    Control of Hazardous Energy Task Force Meeting [EHS]
  • 5:30 PM – 7:00 PM PDT
    SEMI Welcome Reception
  • 6:00 PM – 8:00 PM PDT
    North America Regional Standards Committee (NARSC) Business Meeting (By Invitation Only)
  • Tuesday, July 11, 2023
  • 8:00 AM – 10:00 AM PDT
    Graphical User Interfaces (GUI) Task Force Meeting [I&C]
  • 8:00 AM – 10:00 AM PDT
    S2 Mechanical Design Task Force Meeting [EHS]
  • 8:00 AM – 11:00 AM PDT
    CEO Summit: Path to $1T

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 8:00 AM – 5:00 PM PDT
    VIP Lounge Open
  • 9:00 AM – 10:00 AM PDT
    Ultra Pure Water (UPW) Task Force Meeting [Liquid Chemicals]
  • 9:00 AM – 12:00 PM PDT
    Facilities & Gases North America Technical Committee Chapter Meeting
  • 9:00 AM – 12:00 PM PDT
    Silicon Wafer North America Technical Committee Chapter Meeting
  • 10:00 AM – 11:00 AM PDT
    Energy Saving Equipment Communication (ESEC) Task Force Meeting [I&C]
  • 10:00 AM – 11:00 AM PDT
    Water Management Task Force Meeting [Liquid Chemicals]
  • 10:00 AM – 12:00 PM PDT
    Energetic Materials EHS Task Force Meeting [EHS]
  • 10:00 AM – 3:00 PM PDT
    Packaging Tape Frame Handling Task Force Meeting [PIC]
  • 10:30 AM – 11:00 AM PDT
    Best of West:High Accuracy Wafer Thickness Mapping System, TMS-2000 Presented by Santec

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 10:30 AM – 12:30 PM PDT
    Bio-Sensor Innovation for Smarter MedTech

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 10:30 AM – 12:30 PM PDT
    Sustainable Synergy: Balancing Semiconductor Growth and Environmental Sustainability

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 10:30 AM – 12:55 PM PDT
    Connectivity & Security Infrastructure

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 10:30 AM – 4:00 PM PDT
    Creating a Diverse and Inclusive Industry

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 10:30 AM – 6:30 PM PDT
    Climate Equity & Social Impact

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 10:45 AM – 12:45 PM PDT
    FLEX Conference Keynotes

    Session Chair: Melissa Grupen-Shemansky, PhD – SEMI

    All-In Pass

    Student Explorer Pass

  • 11:00 AM – 12:00 PM PDT
    High Purity Polymer Material & Components Task Force Meeting [Liquid Chemicals]
  • 11:00 AM – 12:00 PM PDT
    Process Control System (PCS) Task Force Meeting [I&C]
  • 11:15 AM – 11:45 AM PDT
    Best of West: Quadra 7 Pro MXI Presented by Nordson

    Presenter: Giancarlo Delagarza

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 11:30 AM – 3:30 PM PDT
    Food Trucks Open
  • 11:30 AM – 3:30 PM PDT
    Taste of San Francisco Lunch: North Beach Day
  • 1:00 PM – 1:45 PM PDT
    Generative AI in semiconductors

    Speaker: Bobby Mitra, PhD – Deloitte

    Speaker: Mike Luk, PhD – Deloitte

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 1:00 PM – 2:00 PM PDT
    Navigating Uncertainty-How Do You Build Agile Supply Chains?

    Panel Session Moderator: Bettina Weiss – SEMI

    Panelist: Jorge Camez – Dell

    Panelist: Jackie Sturm, MBA – Intel Corporation

    Panelist: Gregory Blanchette – KLA

    Panelist: Bill J. Wiseman, MS, MBA – McKinsey & Company

    Panelist: Bindiya Vakil – Resilinc

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 1:00 PM – 2:00 PM PDT
    S1 (Labels) Revision Task Force Meeting [EHS]
  • 1:00 PM – 2:00 PM PDT
    Statistical Methods Task Force Meeting [Liquid Chemicals]
  • 1:00 PM – 4:00 PM PDT
    Diagnostic Data Acquisition (DDA) Task Force Meeting [I&C]
  • 1:15 PM – 1:45 PM PDT
    Best of West: W77Q128 Secure Flash Presneted by Winbond Electronics

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 1:30 PM – 2:30 PM PDT
    Joint MSIG Working Group and MEMS Task Force Meeting
  • 1:30 PM – 3:10 PM PDT
    Integration & Packaging

    All-In Pass

    Student Explorer Pass

  • 1:30 PM – 3:10 PM PDT
    Strategy & Business I

    All-In Pass

    Student Explorer Pass

  • 2:00 PM – 2:30 PM PDT
    Best of West: ACS Unified Server, Presented by Advantest

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 2:00 PM – 4:00 PM PDT
    Liquid Chemicals North America Technical Committee Chapter Meeting - Day 1
  • 2:00 PM – 4:00 PM PDT
    Market & Manufacturing Trends for MedTech Sensors

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 2:00 PM – 4:00 PM PDT
    S6 Revision Task Force Meeting [EHS]
  • 2:00 PM – 4:30 PM PDT
    Enabling New Products with Advanced Substrates

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 2:00 PM – 4:40 PM PDT
    Automation & Equipment Toward Fully Autonomous Factories

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 2:45 PM – 3:15 PM PDT
    Best of West: DOT Tester Auto Maintenance Presented by SPEA

    Presenter: Luca Fanelli, MA – SPEA S.p.A.

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 3:00 PM – 4:00 PM PDT
    Electron Microscopy (EM) Workflow Task Force Meeting
  • 3:00 PM – 4:00 PM PDT
    Japan Panel Level Packaging Panel FOUP Task Force Meeting [PIC]
  • 3:10 PM – 3:40 PM PDT
    FLEX Conference: Student Poster Networking Break
  • 3:30 PM – 5:00 PM PDT
    Daily Happy Hour
  • 3:40 PM – 5:00 PM PDT
    Applications

    All-In Pass

    Student Explorer Pass

  • 3:40 PM – 5:00 PM PDT
    Printing & Manufacturing

    All-In Pass

    Student Explorer Pass

  • 4:00 PM – 5:00 PM PDT
    Fire Protection Task Force Meeting [EHS]
  • 4:00 PM – 5:00 PM PDT
    SEMI Corporate Savings Program Wine and Cheese Mixer
  • 4:00 PM – 5:00 PM PDT
    SEMI E72 Revision Task Force Meeting [PIC]
  • 4:00 PM – 5:00 PM PDT
    SemiSister Welcome Reception
  • 4:00 PM – 5:30 PM PDT
    Fab & Equipment Computer and Device Security (CDS) Task Force Meeting [I&C]
  • 5:00 PM – 6:00 PM PDT
    S7 Revision Task Force Meeting [EHS]
  • 5:00 PM – 6:30 PM PDT
    FLEX Reception
  • 5:30 PM – 6:30 PM PDT
    Climate Equity & Social Impact Networking
  • 6:00 PM – 7:30 PM PDT
    SEMI Standards Reception
  • Wednesday, July 12, 2023
  • 8:00 AM – 9:00 AM PDT
    Environmental Performance Rating (EPR) Task Force Meeting [EHS]
  • 8:30 AM – 10:55 AM PDT
    CEO Summit: Path to Net Zero

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 9:00 AM – 10:00 AM PDT
    Global PIC Maintenance Task Force Meeting [PIC]
  • 9:00 AM – 10:00 AM PDT
    S8 (Ergonomics) Revision Task Force Meeting [EHS]
  • 9:00 AM – 5:00 PM PDT
    Test Vision: Test Innovation Enables the Path Forward ( Day 1 of 2)

    All-In Pass

    Student Explorer Pass

  • 10:00 AM – 11:00 AM PDT
    EMC Task Force Meeting [Metrics]
  • 10:00 AM – 11:00 AM PDT
    Flammable Gas Task Force Meeting [EHS]
  • 10:00 AM – 12:00 PM PDT
    Physical Interfaces & Carriers (PIC) North America Technical Committee Chapter Meeting
  • 10:00 AM – 5:00 PM PDT
    VIP Lounge Open
  • 10:30 AM – 12:30 PM PDT
    Latest Trends in Sensorization

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 10:30 AM – 12:30 PM PDT
    Shortening the Learning Curve for Taiwan Companies in the United States

    Limited Space. RSVP Required

  • 10:30 AM – 12:45 PM PDT
    Meeting The Demands Of Affordable Electrification

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 10:30 AM – 1:00 PM PDT
    Data Ecosystem

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 10:30 AM – 4:30 PM PDT
    Building a Career in Microelectronics
  • 10:45 AM – 12:45 PM PDT
    Future FLEX Disruptors

    All-In Pass

    Student Explorer Pass

  • 11:00 AM – 12:00 PM PDT
    Bulls and Bears

    Panel Session Moderator: Jane Lanhee Lee

    Panelist: Mark Lipacis, MS, MBA – Jefferies

    Panelist: Ulrike Hoffmann-Burchardi, PhD – Tudor Investment Corporation

    Panelist: Lori A. Keith, MBA – Parnassus Investments

    Panelist: Stacy A. Rasgon, PhD – Bernstein Research

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 11:00 AM – 12:00 PM PDT
    S10 Revision Task Force Meeting [EHS]
  • 11:30 AM – 3:30 PM PDT
    Food Trucks Open
  • 11:30 AM – 3:30 PM PDT
    Taste of San Francisco Lunch: North Beach Day
  • 1:00 PM – 2:00 PM PDT
    S12 (Equip Decon) Task Force Meeting [EHS]
  • 1:00 PM – 3:30 PM PDT
    America's Path Forward In Semiconductors, A SEMICON West Session On Government and the Semiconductor Industry

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 1:00 PM – 4:00 PM PDT
    Liquid Chemicals North America Technical Committee Chapter Meeting - Day 2
  • 1:00 PM – 5:00 PM PDT
    Global Cybersecurity Forum: Securing The Future For Semiconductor Manufacturing

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 1:00 PM – 5:20 PM PDT
    Sustainability & EHS Forum

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 1:15 PM – 1:45 PM PDT
    Applied Materials / ASU Press Briefing (Invitation Only)
  • 1:30 PM – 3:00 PM PDT
    RF Measurements Task Force Meeting [Metrics]
  • 1:30 PM – 3:10 PM PDT
    Materials & Components

    All-In Pass

    Student Explorer Pass

  • 1:30 PM – 3:10 PM PDT
    Strategy & Business II

    All-In Pass

    Student Explorer Pass

  • 2:00 PM – 2:30 PM PDT
    Best of West: Echo Opto-Acoustic Metrology System Presented by Onto Innovation

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 2:00 PM – 2:30 PM PDT
    S3 (Heating Systems) Revision Task Force Meeting [EHS]
  • 2:00 PM – 4:15 PM PDT
    Intelligent Sensors for Smart Mobility

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 2:00 PM – 4:30 PM PDT
    AI/ML Enabled Manufacturing Operations

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 2:00 PM – 5:00 PM PDT
    Heterogeneous Integration: HPC and Hyperscale Computing

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 2:30 PM – 3:30 PM PDT
    S2 Major Revision Task Force Meeting [EHS]
  • 3:10 PM – 3:40 PM PDT
    FLEX Conference: Student Poster Networking Break
  • 3:15 PM – 5:00 PM PDT
    Test Vision Reception
  • 3:30 PM – 4:30 PM PDT
    Manufacturing Equipment Safety Subcommittee (MESSC) Meeting
  • 3:30 PM – 5:00 PM PDT
    Daily Happy Hour
  • 3:30 PM – 5:30 PM PDT
    Metrics North America Technical Committee Chapter Meeting
  • 3:40 PM – 5:20 PM PDT
    FHE for Human Interface & Soft Robotics

    All-In Pass

    Student Explorer Pass

  • 3:40 PM – 5:20 PM PDT
    Manufacturing Challenges

    All-In Pass

    Student Explorer Pass

  • 4:30 PM – 5:30 PM PDT
    EHS Leadership Meeting
  • 4:30 PM – 6:00 PM PDT
    Smart Manufacturing Reception
  • 4:30 PM – 6:00 PM PDT
    Smart Mobility Reception
  • 4:30 PM – 6:00 PM PDT
    Workforce Development Reception
  • 5:00 PM – 6:00 PM PDT
    Advanced Packaging & Heterogeneous Integration Reception
  • 5:30 PM – 6:30 PM PDT
    S2 / S22 Task Force Meeting [EHS]
  • 5:30 PM – 6:30 PM PDT
    Sustainability & EHS Forum
  • 5:30 PM – 7:30 PM PDT
    MSIG (MEMS and Sensors Industry Group) Reception
  • 10:30 AM – 4:30 PM PDT
    Building a Career in Microelectronics

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 3:15 PM – 5:00 PM PDT
    Test Vision Poster Presentation

    All-In Pass

    Student Explorer Pass

  • 10:30 AM – 4:30 PM PDT
    Building a Career in Microelectronics
  • 3:15 PM – 5:00 PM PDT
    Test Vision Poster Presentation
  • 10:30 AM – 4:30 PM PDT
    Building a Career in Microelectronics
  • 3:15 PM – 5:00 PM PDT
    Test Vision Poster Presentation
  • Thursday, July 13, 2023
  • 8:30 AM – 11:30 AM PDT
    CEO Summit: Path for Talent

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 8:30 AM – 4:00 PM PDT
    SOI Markets & Technology Symposium

    All-In Pass

    Student Explorer Pass

  • 9:00 AM – 3:00 PM PDT
    Environmental, Health & Safety (EHS) North America Technical Committee Chapter Meeting
  • 9:00 AM – 3:00 PM PDT
    Information & Control North America Technical Committee Chapter Meeting
  • 9:00 AM – 5:00 PM PDT
    Test Vision: Test Innovation Enables the Path Forward ( Day 2 of 2)

    All-In Pass

    Student Explorer Pass

  • 10:00 AM – 10:30 AM PDT
    FLEXI Awards and Student Poster Awards Ceremony

    All-In Pass

    Student Explorer Pass

  • 10:00 AM – 5:00 PM PDT
    VIP Lounge Open
  • 10:30 AM – 11:55 AM PDT
    FLEXTalks

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 10:30 AM – 12:10 PM PDT
    Advanced Packaging

    All-In Pass

    Student Explorer Pass

  • 10:30 AM – 12:10 PM PDT
    Manufacturing & Reliability

    All-In Pass

    Student Explorer Pass

  • 10:30 AM – 12:35 PM PDT
    Connecting the Automotive Ecosystem With SiC Manufacturing

    All-In Pass

    Student Explorer Pass

  • 10:30 AM – 12:40 PM PDT
    Extended Chip Capacity

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 10:30 AM – 2:00 PM PDT
    Intel Sustainability Summit

    Invitation Only

  • 10:30 AM – 3:30 PM PDT
    Accelerating The Pace of Lithography And Scaling Innovation

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 10:30 AM – 3:30 PM PDT
    Startups for Sustainable Semiconductors

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 10:30 AM – 4:00 PM PDT
    Building an Inclusive Talent Pipeline

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 11:00 AM – 1:00 PM PDT
    Joint 3DP&I Bonded Wafer Stacks and 3DP&I Inspection & Metrology Task Force Meeting
  • 11:30 AM – 3:30 PM PDT
    Food Trucks Open
  • 11:30 AM – 3:30 PM PDT
    Taste of San Francisco: Fisherman's Wharf Day
  • 1:00 PM – 2:30 PM PDT
    3D Packaging & Integration (3DP&I) North America Technical Committee Chapter Meeting
  • 1:00 PM – 2:55 PM PDT
    FLEXTalks

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 1:00 PM – 3:00 PM PDT
    IRAM – Industry4 Readiness Assessment Workshop: A tool to Guide and Measure Progress of Your Smart Manufacturing Journey

    Invitation Only

  • 1:30 PM – 2:50 PM PDT
    Hybrid Electronics & Advanced Packaging

    All-In Pass

    Student Explorer Pass

  • 1:30 PM – 2:50 PM PDT
    Processing & Integration

    All-In Pass

    Student Explorer Pass

  • 1:30 PM – 3:30 PM PDT
    International Standards Committee (ISC) Meeting (By Invitation Only)
  • 1:30 PM – 3:40 PM PDT
    Foundations to Build Agile Supply Chains

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass

  • 2:30 PM – 3:30 PM PDT
    Student Explorer Experience: Guided Tour of SEMICON West
  • Friday, July 14, 2023
  • 9:00 AM – 11:00 AM PDT
    NextFlex Technology Hub Tour

    All-In Pass

  • Cancelled
  • FLEX Conference: Student Poster Session

    Expo Pass

    Expo Plus Pass

    Thought Leadership Pass

    All-In Pass

    Student Explorer Pass